7
22
2011
4

iverilog+gtkwave编译测试verilog初探(windows)

1.下载iverilog+gtkwave

2.编写如下模块test.v

`timescale 1ns/1ns
module test_clk;

reg clk;

initial clk = 0;

always
begin
        #1000 clk = 1;
        #2000 clk = 0;
        #3000 $finish;
end

initial
begin
        $dumpfile("test.dump");
        $dumpvars(0, clk);
end

endmodule

3.cmd下

D:\>iverilog -o test test.v

D:\>vvp test
VCD info: dumpfile test.dump opened for output.

D:\>gtkwave test.dump

显示gtkwave

4.Append clk信号得到如下图

如此便完成了verilog模块编译与调试功能。

Category: verilog | Tags: Verilog | Read Count: 2905
pingf 说:
2011年7月29日 11:10

恩,这是个好东西!!!

Avatar_small
uniCorer 说:
2011年8月25日 23:34

@pingf: 是的,很好用的东西。比ISE毕竟要轻便的多。

لی ویوسوہ ہو یار 说:
2021年8月09日 15:22

I really like your take on the issue. I now have a clear idea on what this matter is all about.. 123movies

jackjohnny 说:
2021年9月15日 18:47

Thank you because you have been willing to share information with us. we will always appreciate all you have done here because I know you are very concerned with our. รูเล็ต วิธีเล่น


登录 *


loading captcha image...
(输入验证码)
or Ctrl+Enter

Host by is-Programmer.com | Power by Chito 1.3.3 beta | Theme: Aeros 2.0 by TheBuckmaker.com